2010-08-01から1ヶ月間の記事一覧

ちょっとコーヒーブレイク

MML

@0@P34t168l8o6dc+d1c+2>a4<c>b<C2.>a+ga2..ra+1a2<d2f2.edc+2&c+r r2drf2.gre2crergrfefefr>f4.r a+1a2<d2f2.edc+2.&c+rt144dc+d2.t136ed+e2.f+1&f+1..; @0@P54t168l8o5r4a1a1a+1a2.&arg4a4a+4aga4a+4a4a4<d1e2&err4 r1..c+rc2.>a+ga2.&ar g4a4a+4aga4a+4a4a4<d2&drc+ded+er>a4.rt144a1t136</d2&drc+ded+er></d2f2.edc+2.&c+rt144dc+d2.t136ed+e2.f+1&f+1..;></d2f2.edc+2&c+r></c2.></c>

黄昏の3本足…リードトランジスタの今後について考える(概ね完結編)

トランジスタトランジスタ騒ぐ以上最低限のマナーかな、とか思って、基礎の基礎を勉強してみたりはしてみている。(意味があるのかは不明(>_<)) 関係ないけど、「設計」をいちいち「デザイン」と(脳内で)言い換えるとやる気がなんか出る、っていうか、…

超今更ですが、はやぶさたんのあれ

清々しいほどなんにもしてないんでこういうのを放出。 捻りとか一切なし。 そして、誰得。 /* * * 出荷時スケッチ改・Ver.beacon 004 * */ // 20100822 OkibiWorksLabo (改造) // 参考: http://www.nicovideo.jp/watch/sm11152828 // 設定 int buzzPin = …

頂戴した LCD シールドで暫定的に遊んでみた

結果的に随分放置することになってしまったが、ある意味、正解だったのかもしれない。 話は少し長くなるが、まとめておいて(公益的な意味でも)損はないと思うので少々お付き合い下さい。「付き合いきれん!」という方はこれの次のエントリへ。(Coming Soo…